Szerző: Asztalos Olivér

2016. január 7. 11:50

Chipgyártás: újraindul a tánc?

Évek óta nem tapasztalt izgalmakat, sőt akár meglepetéseket is hozhat a 2016-os év félvezetők szempontjából. Új processzorokra, GPU-kra, rendszerchipekre, illetve egy forradalmi memória-technológiára is számíthatunk.

2015 - unalom a PC-knél, Qualcomm-dráma a telefonoknál

Sok csalódást és kevés izgalmat nyújtottak 2015-ben az ismertebb félvezetőipari termékek. Az év kellemes meglepetéseit a Samsung 14 nanométeres gyártástechnológiája, illetve a (részben) arra épülő Apple A9 szállította. Az Intel továbbra sem tudta teljesen ráncba szedni saját 14 nanométeres eljárását, miközben az év második felében megjelent Skylake processzorok csupán kisebb előrelépést mutattak az elődökhöz mérten.

Égbe révedő informatikusok: az Időkép-sztori

Mi fán terem az előrejelzés, hogy milyen infrastruktúra dolgozik az Időkép alatt, mi várható a deep learning modellek térnyerésével?

Égbe révedő informatikusok: az Időkép-sztori Mi fán terem az előrejelzés, hogy milyen infrastruktúra dolgozik az Időkép alatt, mi várható a deep learning modellek térnyerésével?

Eközben az AMD vesszőfutása tovább folytatódott. A vállalat már nem csak a processzoraihoz használt gyártástechnológia tekintetében került zsákutcába, de végül a 20 nanométeres GPU-kra vonatkozó tervek is a kukában kötöttek ki, jó néhány millió dollárral egyetemben. Az egyetlen érdekességet a rétegezett (HBM) memória bevezetése szolgáltatta, de az ebben rejlő potenciált egyelőre nem tudták kiaknázni. Eközben a Qualcomm megégette magát (és még néhány felhasználót is) a gyártástechnológia korlátai miatt. A Snapdragon 810-zel túllőttek a célon, a TSMC 20 nanométeres gyártástechnológiája nem volt alkalmas a csúcskategóriás ultramobil rendszerchip magas órajeleihez. A csorbát az év végén megjelent Snapdragon 820-szal látszólag kiköszörülte a vállalat.

A gyártók eddig ismert tervei alapján az idei év jóval változatosabbnak ígérkezik. A történések igazi mozgatórugóját most is a fejlettebb gyártástechnológiák jelentik. A Samsung tavaly útnak indított 14 nanométeres FinFET eljárásnak LPP (Low Power Plus) variánsa tömegtermelésbe kerülhet, melyet időközben a GlobalFoundries is licencelt. A TSMC terveiben egy költséghatékonyabb 16 nanométeres technológia bevetése szerepel, miközben a már hónapok óta tömegtermelésben álló 16FF+ (FinFET Plus) az alkalmazásprocesszoroknál nagyobb (>100 mm2) területű dizájnok esetében is bevethetővé válik. A termékek sikere nagy részt azon áll vagy bukik majd, hogy a különböző cégek milyen gyorsan és mennyire hatékonyan tudják kamatoztatni a fejlettebb gyártástechnológiák előnyeit.

AMD: most vagy soha

2016 az AMD számára egy thriller izgalmait hozhatja, ugyanis ez lehet a vállalat mindent vagy semmit éve, amin a túlélés fog múlni. Az összeomlás szélére sodródott cégnek idén jó néhány versenyképes termékkel kell előrukkolnia, hogy kimásszon a mély gödörből. Tervek vannak dögivel, "csupán" jól végre kellene hajtani őket. Ezek időrendi sorrendben első pontja az AM4-es asztali platform rajtja, mely a feltehetőleg még 28 nanométeres Bristol Ridge APU-val történik meg, valamikor tavasszal. Ezt a héten bejelentett új Polaris GPU-k követhetik a nyár folyamán, melyek (egy része biztosan) a Samsung 14 nanométeres gyártástechnológiájával érkezik.

Az új GPU-család feladata lesz növekedési pályára állítani a mélypontra süllyedt piaci részesedést, illetve feledtetni az elmúlt évek kiábrándító átnevezéses stratégiáját. Az új gyártástechnológián túl a mikroarchitektúra is megújul, tehát elméletben minden adott ahhoz, hogy szépítsenek a Radeonok. Az igazi siker valószínűleg most is a konkurens Nvidia válaszán fog múlni, mely cég szintén új termékekkel készül.

Az Nvidiával való összecsapás sem lesz könnyű, de az igazán kemény menetet az ősi rivális Intellel való verseny jelentheti a cég számára. Ennek egy alapvető feltétele, hogy a tavaly májusi tervek megvalósuljanak, ugyanis az AMD az idei évre ígérte a Zen-alapú CPU-kat, melyek legkorábban az utolsó negyedévben futhatnak be. Ezek feladata a GPU-khoz hasonlóan a processzorpiacon mára erősen megkopott részesedés visszaállításának megkezdése lesz. A vállalat várhatóan nem kockáztat, visszatér egy tradicionálisabb mikroarchitektúrákhoz, és próbál kiszámítható teljesítményt kihozni. Amennyiben végül az AMD ezzel olcsóbban tudja kínálni a Haswell/Broadwell processzorok számítási teljesítményét (illetve fogyasztását), úgy azt máris sikerként könyvelhetik majd el az elmúlt évek bukdácsolásai után.

Az AMD tehát igazi termékesővel állhat elő idén: új platform, új GPU-k és VGA-k, új processzorok, ráadásul mindez már 14 nanométeren. Lehet találgatni, hogy ezek közül végül mennyi fog (időre) megvalósulni.

Apple: vihar előtti csend

Az év második felében menetrendszerűen futhat be az Apple A10 SoC-ja, az iPhone 7-ben debütálhat. Az biztosnak látszik, hogy a gyártástechnológia (kedvezőbb opció híján) nem változik majd, de az A9-nél használt párhuzamos gyártás megszűnhet, a Samsung és a TSMC közül elképzelhető, hogy idén csak egy marad. A dual sourcing ugyanis elsősorban kockázatcsökkentést szolgált, a gyártási eljárások feltérképezésével már visszaléphet a hagyományos single source megközelítéshez az Apple. Persze Apple-ről lévén szó extrém esetben az is elképzelhető, hogy nem szűkíti, hanem bővíti a beszállítói palettát a cég és a GlobalFoundries is kap egy megrendelést - ez az Apple alkupozíciójának erősítését szolgálhatja a bérgyártókkal szemben.

A tavalyihoz képest változatlan csíkszélesség megköti a tervezők kezét, így az A10-től kisebb újdonságokra számíthatunk, a mérnökök csak a mikroarchitektúrával játszhatnak. A cég eddig csak kétmagos processzorokat használt az iPhone-ban, nyitott a lehetőség a négymagos kialakítás felé (amennyiben ezt az Apple szükségesnek látja), a cég jelentős, generációnyi teljesítményelőnyét figyelembe véve azonban az is elképzelhető, hogy minimális módosításokkal éli túl 2016-ot. Ennél azonban érdekesebb kérdés, hogy az Apple mit tervez notebook fronton, lesz-e saját tervezésű mobil processzorral szerelt MacBook, illetve ezzel együtt ARM-os OS X.

Intel: papírforma processzorok és egy forradalmi fejlesztés

Az Inteltől szintén jó néhány újdonság várható az idei év során. A Knights Landing kódnevű Xeon Phi gyorsítóról már szinte minden tudunk a gyakorlati teljesítményén kívül. Az ígéret szerint erre az első negyedévben végre fény derül, amikor hivatalosan is piacra kerülnek a termékek. A Xeon Phi egyik feladata az Nvidia Tesla kártyák térnyerésének megakadályozása lesz a HPC (szuperszámítógépes, mérnöki-tudományos) feladatok alatt.

A szervereket, munkaállomásokat, illetve csúcs PC-ket megcélzó tízmagos Broadwell-E processzorok a második negyedévben várhatóak. E szegmensekben mára konkurencia nélkül maradt az Intel, így jóformán csak saját magával kell megküzdjön, de még ez is hozhat kihívásokat. A ~300 és ~600 mm2 közötti lapkák gyártása nem biztos, hogy minden esetben problémamentes lesz a 14 nanométeres bukdácsolás fényében.

A szintén tavaszra datálható Apollo Lake kódnevű Atomok gyártás szempontból már nem jelenthetnek gondot. A processzormagok a Goldmont kódnevű mikroarchitektúrával érkeznek, melytől nagyobb előrelépés várható. A grafikus egység is frissül, megkapja a Skylake-ben debütált Gen9 rendszert, mindezt pedig már 14 nanométeren gyártja az Intel. A vállalat eddig milliárd dolláros összeget költött az ultramobil szegmensre, ugyanakkor még az Apollo Lake ígéretes fejlesztéseinek fényében is kétséges, hogy a kitartóan ostromolt, de évek óta ARM-ot preferáló mobilos piacon épp az új Atomok hoznának pálfordulást.

A Skylake szerepét a tikk-takk éra vége miatt idén átvevő Kaby Lake kódnevű frissítés nem sok izgalmat tartogat. A dizájn várhatóan csak az integrált GPU tekintetében módosul, a CPU magok nem változnak érdemben. A grafikus mikroarchitktúra apróbb frissítése (Gen9->Gen9.5) mellett megjelenhet a HDMI 2.0 és az Adaptive-Sync támogatása.

A felsoroltaknál sokkal nagyobb várakozás övezi a 3D XPoint, vagy más néven Optane termékeket. Az Intel és a Micron közös fejlesztésű rezisztív memória-technológiája alapjaiban rázhatja fel piacot. Az ígéret szerint a NAND flash-nél nagyságrendekkel gyorsabb és tartósabb, de megfizethető alternatíva forradalmasíthatja a személyi számítógépek és a nagyvállalati rendszerek világát. Az első modellek (horribilis árakon) még idén piacra kerülnek, a nagyvállalati vásárlók és néhány speciális igénnyel rendelkező kliens biztosan lecsap majd ezekre.

Nvidia: Pascallal turbózott termékek

Az Nvidia idei éve a Pascal GPU mikroarchitektúra körül fog forogni. A várhatóan év közepén elrajtoló vadiúj megoldások a TSMC 16FF+ gyártástechnológiáján készülnek majd, egy részük pedig már rétegzett memóriával (HBM2) fog érkezni. Az előzetes hírek szerint a fejlesztés első körben a HPC-piacot célozza meg Tesla formájában, így pedig egy izgalmas összecsapást ígér a Knights Landinggel. Ez alapján az asztali és mobil GeForce-ok csak később futnak be, amivel viszont AMD az új Radeonjaival egy lélegzetvételnyi előnyhöz juthat.

Valamikor az év folyamán befuthat a Parker kódnevű Tegra SoC is, mely az önvezető autókhoz szánt Drive PX2 platformon már biztosan helyet kap. Kétséges, hogy a vállalat ezzel fordítani tudna az ultramobil trendjein, ergo nem valószínű, hogy a legújabb Tegra nagy karriert futhat be a tabletek piacán (az okostelefonokat korábban feladta a cég), így rendszerchipet valószínűleg csak az Nvidia saját fejlesztésű termékeiben látjuk majd viszont.

Samsung: egy kis lépés és egy nagy fejlesztés

A Samsung idei legérdekesebb fejlesztése a Galaxy S7-ben debütáló Exynos 8890 SoC lehet, illetve annak is leginkább a CPU magjai. Exynos M1 a dél-koreaiak első saját CPU mikroarchitektúrája, mely ugyan valószínűleg nem hoz forradalmi változtatásokat az ARM saját megoldásához képest, de az önállósodás felé vezető úton ez lehet az első lépés.

Emellett a Samsung gőzerővel folytatja a 10 nanométeres FinFET gyártástechnológiájának fejlesztését, mely a piac számos szereplőjének biztosíthat előrelépést 2017-től kezdődően. A dél-koreaiak eközben tovább közelíthetnek az Intelhez, a Samsung nem titkolt célja tovább erősíteni globális pozícióját a félvezetőpiacon.

A PC-piaci állóvizet tehát évek után először kavarhatja meg 2016-ban az AMD, ha sikeres a cég, akkor akár újra beindulhat a teljesítményverseny is az Intellel. (Utóbbinak az amúgy is gyengélkedő piac miatt most a lehető legkevésbé hiányzik a konkurencia.) A Qualcomm nagy égése 2015-ben alaposan átrajzolta a mobilprocesszoros frontot, az így támadt űrt a Samsung okosan töltötte ki saját csúcsmodelljeiben, idén már a Huawei is támadásba lendült a Kirin 950-nel. Az Intel a mobilos szegmensben nem tudta részesedésre váltani a gyártástechnológiai előnyt, ennek fokozatos elolvadásával pedig a PC-s és szerveres dominancia is hamar megkérdőjeleződhet.

Nagyon széles az a skála, amin az állásinterjú visszajelzések tartalmi minősége mozog: túl rövid, túl hosszú, semmitmondó, értelmetlen vagy semmi. A friss heti kraftie hírlevélben ezt jártuk körül. Ha tetszett a cikk, iratkozz fel, és minden héten elküldjük emailben a legfrissebbet!

a címlapról